We gratefully acknowledge support from
the Simons Foundation and member institutions.
Full-text links:

Download:

Current browse context:

cs.IT

Change to browse by:

References & Citations

DBLP - CS Bibliography

Bookmark

(what is this?)
CiteULike logo BibSonomy logo Mendeley logo del.icio.us logo Digg logo Reddit logo

Computer Science > Information Theory

Title: Generalized Water-filling for Source-aware Energy-efficient SRAMs

Abstract: Conventional low-power static random access memories (SRAMs) reduce read energy by decreasing the bit-line voltage swings uniformly across the bit-line columns. This is because the read energy is proportional to the bit-line swings. On the other hand, bit-line swings are limited by the need to avoid decision errors especially in the most significant bits. We propose an information-theoretic approach to determine optimal non-uniform bit-line swings by formulating convex optimization problems. For a given constraint on mean squared error of retrieved words, we consider criteria to minimize energy (for low-power SRAMs), maximize speed (for high-speed SRAMs), and minimize energy-delay product. These optimization problems can be interpreted as classical water-filling, ground-flattening and water-filling, and sand-pouring and water-filling, respectively. By leveraging these interpretations, we also propose greedy algorithms to obtain optimized discrete swings. Numerical results show that energy-optimal swing assignment reduces energy consumption by half at a peak signal-to-noise ratio of 30dB for an 8-bit accessed word. The energy savings increase to four times for a 16-bit accessed word.
Subjects: Information Theory (cs.IT)
DOI: 10.1109/TCOMM.2018.2841406
Cite as: arXiv:1710.07153 [cs.IT]
  (or arXiv:1710.07153v3 [cs.IT] for this version)

Submission history

From: Yongjune Kim [view email]
[v1] Thu, 19 Oct 2017 14:20:33 GMT (1657kb,D)
[v2] Wed, 15 Nov 2017 15:34:38 GMT (1800kb,D)
[v3] Wed, 29 Nov 2017 21:01:58 GMT (1800kb,D)

Link back to: arXiv, form interface, contact.