We gratefully acknowledge support from
the Simons Foundation and member institutions.
Full-text links:

Download:

Current browse context:

cs.AR

Change to browse by:

References & Citations

DBLP - CS Bibliography

Bookmark

(what is this?)
CiteULike logo BibSonomy logo Mendeley logo del.icio.us logo Digg logo Reddit logo

Computer Science > Hardware Architecture

Title: Dataflow Accelerator Architecture for Autonomous Machine Computing

Abstract: Commercial autonomous machines is a thriving sector, one that is likely the next ubiquitous computing platform, after Personal Computers (PC), cloud computing, and mobile computing. Nevertheless, a suitable computing substrate for autonomous machines is missing, and many companies are forced to develop ad hoc computing solutions that are neither principled nor extensible. By analyzing the demands of autonomous machine computing, this article proposes Dataflow Accelerator Architecture (DAA), a modern instantiation of the classic dataflow principle, that matches the characteristics of autonomous machine software.
Comments: Please note that this may be a special case in that Professor Gao sadly passed away on September 12th, just as we had put the finishing touches on this submission
Subjects: Hardware Architecture (cs.AR); Artificial Intelligence (cs.AI); Robotics (cs.RO)
Cite as: arXiv:2109.07047 [cs.AR]
  (or arXiv:2109.07047v2 [cs.AR] for this version)

Submission history

From: Shaoshan Liu [view email]
[v1] Wed, 15 Sep 2021 01:58:12 GMT (1277kb,D)
[v2] Thu, 23 Jun 2022 10:08:26 GMT (1471kb,D)

Link back to: arXiv, form interface, contact.