We gratefully acknowledge support from
the Simons Foundation and member institutions.

Hardware Architecture

Authors and titles for cs.AR in Jan 2022, skipping first 25

[ total of 63 entries: 1-25 | 26-50 | 51-63 ]
[ showing 25 entries per page: fewer | more | all ]
[26]  arXiv:2201.09670 [pdf, ps, other]
Title: Low hardware consumption, resolution-configurable Gray code oscillator time-to-digital converters implemented in 16nm, 20nm and 28nm FPGAs
Comments: 9 pages, 9 figures
Subjects: Hardware Architecture (cs.AR)
[27]  arXiv:2201.11409 [pdf, ps, other]
Title: On the RTL Implementation of FINN Matrix Vector Compute Unit
Comments: 22 pages, 7 tables, 16 figures
Subjects: Hardware Architecture (cs.AR)
[28]  arXiv:2201.11638 [pdf, other]
Title: Reuse-Aware Cache Partitioning Framework for Data-Sharing Multicore Systems
Comments: 2 pages. 7th IEEE International Symposium on Smart Electronic Systems (iSES) 2021
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC)
[29]  arXiv:2201.11978 [pdf, ps, other]
Title: Testable Array Multipliers for a Better Utilization of C-Testability and Bijectivity
Comments: 6 pages,8 figures
Subjects: Hardware Architecture (cs.AR); Logic in Computer Science (cs.LO)
[30]  arXiv:2201.12027 [pdf, other]
Title: Puppeteer: A Random Forest-based Manager for Hardware Prefetchers across the Memory Hierarchy
Subjects: Hardware Architecture (cs.AR); Machine Learning (cs.LG); Performance (cs.PF)
[31]  arXiv:2201.12480 [pdf, other]
Title: Interconnect Parasitics and Partitioning in Fully-Analog In-Memory Computing Architectures
Comments: 5 pages, 6 figures
Journal-ref: 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 2022, pp. 389-393
Subjects: Hardware Architecture (cs.AR); Emerging Technologies (cs.ET); Machine Learning (cs.LG)
[32]  arXiv:2201.12861 [pdf, other]
Title: Neural-PIM: Efficient Processing-In-Memory with Neural Approximation of Peripherals
Comments: 14 pages, 13 figures, Published in IEEE Transactions on Computers
Journal-ref: IEEE Transactions on Computers, 2021
Subjects: Hardware Architecture (cs.AR); Emerging Technologies (cs.ET); Machine Learning (cs.LG)
[33]  arXiv:2201.13056 [pdf, ps, other]
Title: The complexity gap in the static analysis of cache accesses grows if procedure calls are added
Authors: David Monniaux (VERIMAG - IMAG)
Subjects: Hardware Architecture (cs.AR); Computational Complexity (cs.CC); Formal Languages and Automata Theory (cs.FL); Programming Languages (cs.PL)
[34]  arXiv:2201.00594 (cross-list from cs.NI) [pdf, other]
Title: A Priority-Aware Multiqueue NIC Design
Comments: The 37th ACM/SIGAPP Symposium on Applied Computing (SAC '22)
Subjects: Networking and Internet Architecture (cs.NI); Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Operating Systems (cs.OS)
[35]  arXiv:2201.01130 (cross-list from cs.CR) [pdf, other]
Title: Reusing Verification Assertions as Security Checkers for Hardware Trojan Detection
Comments: 6 pages, 6 figures
Journal-ref: 2022 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 2022, pp. 1-6
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[36]  arXiv:2201.01834 (cross-list from cs.CR) [pdf, other]
Title: Secure Remote Attestation with Strong Key Insulation Guarantees
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[37]  arXiv:2201.01863 (cross-list from cs.LG) [pdf, other]
Title: CFU Playground: Full-Stack Open-Source Framework for Tiny Machine Learning (tinyML) Acceleration on FPGAs
Journal-ref: IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). (2023) 157-167
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC)
[38]  arXiv:2201.02789 (cross-list from cs.DC) [pdf, other]
Title: A Compiler Framework for Optimizing Dynamic Parallelism on GPUs
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR)
[39]  arXiv:2201.02986 (cross-list from cs.CR) [pdf, other]
Title: A Retrospective and Futurespective of Rowhammer Attacks and Defenses on DRAM
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[40]  arXiv:2201.03166 (cross-list from cs.IT) [pdf, other]
Title: Spatiotemporal 2-D Channel Coding for Very Low Latency Reliable MIMO Transmission
Subjects: Information Theory (cs.IT); Hardware Architecture (cs.AR)
[41]  arXiv:2201.03386 (cross-list from cs.SD) [pdf, other]
Title: Sub-mW Keyword Spotting on an MCU: Analog Binary Feature Extraction and Binary Neural Networks
Subjects: Sound (cs.SD); Artificial Intelligence (cs.AI); Hardware Architecture (cs.AR); Audio and Speech Processing (eess.AS)
[42]  arXiv:2201.03861 (cross-list from cs.DC) [pdf, other]
Title: HEROv2: Full-Stack Open-Source Research Platform for Heterogeneous Computing
Comments: 14 pages, 9 figures, 3 tables
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR); Performance (cs.PF)
[43]  arXiv:2201.03950 (cross-list from cs.DC) [pdf, other]
Title: High Throughput Multidimensional Tridiagonal Systems Solvers on FPGAs
Comments: Under review
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR)
[44]  arXiv:2201.05884 (cross-list from cs.PF) [pdf, other]
Title: Calipers: A Criticality-aware Framework for Modeling Processor Performance
Subjects: Performance (cs.PF); Hardware Architecture (cs.AR)
[45]  arXiv:2201.06703 (cross-list from cs.ET) [pdf, other]
Title: Design Space Exploration of Dense and Sparse Mapping Schemes for RRAM Architectures
Comments: Accepted at 2022 IEEE International Symposium on Circuits and Systems (ISCAS). [v2] Fixed incorrectly labeled author affiliations for Chenqi Li, Amirali Amirsoleimani, and Roman Genov
Subjects: Emerging Technologies (cs.ET); Artificial Intelligence (cs.AI); Hardware Architecture (cs.AR)
[46]  arXiv:2201.06848 (cross-list from cs.LG) [pdf, other]
Title: High-Level Synthesis Performance Prediction using GNNs: Benchmarking, Modeling, and Advancing
Journal-ref: Proceedings of the 59th ACM/IEEE Design Automation Conference, July 2022
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[47]  arXiv:2201.07375 (cross-list from cs.CR) [pdf, other]
Title: A 333.9uW 0.158mm$^2$ Saber Learning with Rounding based Post-Quantum Crypto Accelerator
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[48]  arXiv:2201.08413 (cross-list from cs.LG) [pdf, other]
Title: Unicorn: Reasoning about Configurable System Performance through the lens of Causality
Comments: EuroSys 2022 (camera-ready)
Subjects: Machine Learning (cs.LG); Artificial Intelligence (cs.AI); Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Performance (cs.PF)
[49]  arXiv:2201.08442 (cross-list from cs.LG) [pdf, other]
Title: Neural Network Quantization with AI Model Efficiency Toolkit (AIMET)
Comments: arXiv admin note: substantial text overlap with arXiv:2106.08295
Subjects: Machine Learning (cs.LG); Artificial Intelligence (cs.AI); Hardware Architecture (cs.AR); Performance (cs.PF); Software Engineering (cs.SE)
[50]  arXiv:2201.08455 (cross-list from cs.LG) [pdf, other]
Title: LOSTIN: Logic Optimization via Spatio-Temporal Information with Hybrid Graph Models
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[ total of 63 entries: 1-25 | 26-50 | 51-63 ]
[ showing 25 entries per page: fewer | more | all ]

Disable MathJax (What is MathJax?)

Links to: arXiv, form interface, find, cs, 2404, contact, help  (Access key information)