We gratefully acknowledge support from
the Simons Foundation and member institutions.

Hardware Architecture

Authors and titles for cs.AR in Nov 2022, skipping first 25

[ total of 74 entries: 1-25 | 26-50 | 51-74 ]
[ showing 25 entries per page: fewer | more | all ]
[26]  arXiv:2211.12072 [pdf, other]
Title: Design and Performance Analysis of Hardware Realization of 3GPP Physical Layer for 5G Cell Search
Subjects: Hardware Architecture (cs.AR); Networking and Internet Architecture (cs.NI); Signal Processing (eess.SP)
[27]  arXiv:2211.12205 [pdf, other]
Title: Utopia: Fast and Efficient Address Translation via Hybrid Restrictive & Flexible Virtual-to-Physical Address Mappings
Comments: To appear in 56th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2023
Subjects: Hardware Architecture (cs.AR)
[28]  arXiv:2211.12600 [pdf, other]
Title: ArrayFlex: A Systolic Array Architecture with Configurable Transparent Pipelining
Comments: DATE 2023
Subjects: Hardware Architecture (cs.AR); Machine Learning (cs.LG)
[29]  arXiv:2211.13010 [pdf, other]
Title: Micro-Architectural features as soft-error induced fault executions markers in embedded safety-critical systems: a preliminary study
Journal-ref: IEEE European Test Symposium 2023
Subjects: Hardware Architecture (cs.AR)
[30]  arXiv:2211.13094 [pdf, other]
Title: Characterizing a Neutron-Induced Fault Model for Deep Neural Networks
Subjects: Hardware Architecture (cs.AR)
[31]  arXiv:2211.13182 [pdf, other]
Title: Cascade: An Application Pipelining Toolkit for Coarse-Grained Reconfigurable Arrays
Comments: Preprint version
Subjects: Hardware Architecture (cs.AR)
[32]  arXiv:2211.13324 [pdf, other]
Title: HAAC: A Hardware-Software Co-Design to Accelerate Garbled Circuits
Comments: Accepted to the 50th Annual International Symposium on Computer Architecture (ISCA)
Subjects: Hardware Architecture (cs.AR); Cryptography and Security (cs.CR)
[33]  arXiv:2211.13980 [pdf, other]
Title: Sparse Hamming Graph: A Customizable Network-on-Chip Topology
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Networking and Internet Architecture (cs.NI)
[34]  arXiv:2211.13989 [pdf, other]
Title: HexaMesh: Scaling to Hundreds of Chiplets with an Optimized Chiplet Arrangement
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Networking and Internet Architecture (cs.NI)
[35]  arXiv:2211.14917 [pdf, other]
Title: CorrectNet: Robustness Enhancement of Analog In-Memory Computing for Neural Networks by Error Suppression and Compensation
Comments: Accepted by DATE 2023 (Design, Automation and Test in Europe)
Subjects: Hardware Architecture (cs.AR); Machine Learning (cs.LG)
[36]  arXiv:2211.14944 [pdf, other]
Title: HULK-V: a Heterogeneous Ultra-low-power Linux capable RISC-V SoC
Comments: This paper has been accepted as full paper at DATE23 this https URL
Subjects: Hardware Architecture (cs.AR)
[37]  arXiv:2211.16008 [pdf, ps, other]
Title: A Charge Domain P-8T SRAM Compute-In-Memory with Low-Cost DAC/ADC Operation for 4-bit Input Processing
Comments: Presented at ISLPED 2022
Journal-ref: in Proc. ACM/IEEE Int. Symp. Low Power Electron. and Design 6 (2022) 1-6
Subjects: Hardware Architecture (cs.AR); Artificial Intelligence (cs.AI)
[38]  arXiv:2211.16385 [pdf, other]
Title: Multi-Agent Reinforcement Learning for Microprocessor Design Space Exploration
Comments: Workshop on ML for Systems at NeurIPS 2022
Subjects: Hardware Architecture (cs.AR); Artificial Intelligence (cs.AI); Machine Learning (cs.LG); Multiagent Systems (cs.MA)
[39]  arXiv:2211.17207 [pdf, other]
Title: Canal: A Flexible Interconnect Generator for Coarse-Grained Reconfigurable Arrays
Comments: Preprint version
Subjects: Hardware Architecture (cs.AR)
[40]  arXiv:2211.00360 (cross-list from cs.DC) [pdf, ps, other]
Title: sRSP: GPUlarda Asimetrik Senkronizasyon Icin Yeni Olceklenebilir Bir Cozum
Comments: in Turkish language
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR)
[41]  arXiv:2211.00590 (cross-list from cs.LG) [pdf, other]
Title: Reliability-Aware Deployment of DNNs on In-Memory Analog Computing Architectures
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR); Emerging Technologies (cs.ET)
[42]  arXiv:2211.02167 (cross-list from cs.NE) [pdf, other]
Title: Hardware/Software co-design with ADC-Less In-memory Computing Hardware for Spiking Neural Networks
Comments: 13 pages, 14 figures
Journal-ref: IEEE Transactions on Emerging Topics in Computing (2023)
Subjects: Neural and Evolutionary Computing (cs.NE); Hardware Architecture (cs.AR); Emerging Technologies (cs.ET)
[43]  arXiv:2211.02870 (cross-list from eess.SY) [pdf, other]
Title: Electronics and Sensor Subsystem Design for Daedalus 2 on REXUS 29: An Autorotation Probe for Sub-Orbital Re-Entry
Comments: 8 pages, 10 figures
Journal-ref: Proceedings of the 25th ESA Symposium on European Balloons and Rockets Programmes and related Research; 1-5 May 2022, Biarritz, France; SP-743, ISBN 978-92-9221-308-4, ISSN 0379-6566; Page 271-278
Subjects: Systems and Control (eess.SY); Hardware Architecture (cs.AR)
[44]  arXiv:2211.03251 (cross-list from cs.PL) [pdf, other]
Title: Stardust: Compiling Sparse Tensor Algebra to a Reconfigurable Dataflow Architecture
Comments: 15 pages, 13 figures, 6 tables,
Subjects: Programming Languages (cs.PL); Hardware Architecture (cs.AR)
[45]  arXiv:2211.03526 (cross-list from cs.CR) [pdf, other]
Title: Hardware Security Primitives using Passive RRAM Crossbar Array: Novel TRNG and PUF Designs
Comments: To appear at ASP-DAC 2023
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR); Emerging Technologies (cs.ET)
[46]  arXiv:2211.04542 (cross-list from cs.ET) [pdf, other]
Title: Multiple-Valued Logic Circuit Design and Data Transmission Intended for Embedded Systems
Comments: Ph.D. Dissertation
Subjects: Emerging Technologies (cs.ET); Hardware Architecture (cs.AR)
[47]  arXiv:2211.05109 (cross-list from cs.CV) [pdf, other]
Title: ViTALiTy: Unifying Low-rank and Sparse Approximation for Vision Transformer Acceleration with a Linear Taylor Attention
Comments: 14 pages, 15 figures, Accepted to IEEE HPCA 2023
Subjects: Computer Vision and Pattern Recognition (cs.CV); Hardware Architecture (cs.AR); Machine Learning (cs.LG)
[48]  arXiv:2211.05712 (cross-list from cs.DC) [pdf, other]
Title: Early Performance Results on 4th Gen Intel(R) Xeon (R) Scalable Processors with DDR and Intel(R) Xeon(R) processors, codenamed Sapphire Rapids with HBM
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR)
[49]  arXiv:2211.06329 (cross-list from cs.AI) [pdf, other]
Title: Runtime data center temperature prediction using Grammatical Evolution techniques
Subjects: Artificial Intelligence (cs.AI); Hardware Architecture (cs.AR)
[50]  arXiv:2211.07511 (cross-list from cs.LO) [pdf, ps, other]
Title: A Formal CHERI-C Semantics for Verification
Comments: Accepted to appear in TACAS 2023
Journal-ref: Tools and Algorithms for the Construction and Analysis of Systems, 2023, 549-568
Subjects: Logic in Computer Science (cs.LO); Hardware Architecture (cs.AR); Programming Languages (cs.PL)
[ total of 74 entries: 1-25 | 26-50 | 51-74 ]
[ showing 25 entries per page: fewer | more | all ]

Disable MathJax (What is MathJax?)

Links to: arXiv, form interface, find, cs, 2404, contact, help  (Access key information)