We gratefully acknowledge support from
the Simons Foundation and member institutions.

Hardware Architecture

Authors and titles for cs.AR in Jan 2023

[ total of 55 entries: 1-50 | 51-55 ]
[ showing 50 entries per page: fewer | more | all ]
[1]  arXiv:2301.00290 [pdf, other]
Title: BARVINN: Arbitrary Precision DNN Accelerator Controlled by a RISC-V CPU
Comments: 7 pages. Accepted for publication in the 2023, 28th Asia and South Pacific Design Automation Conference (ASP-DAC 2023)
Subjects: Hardware Architecture (cs.AR)
[2]  arXiv:2301.00414 [pdf, other]
Title: DaeMon: Architectural Support for Efficient Data Movement in Disaggregated Systems
Comments: To appear in the Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS) 2023 and the ACM SIGMETRICS 2023 conference
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Performance (cs.PF)
[3]  arXiv:2301.00861 [pdf, other]
Title: Hardware Abstractions and Hardware Mechanisms to Support Multi-Task Execution on Coarse-Grained Reconfigurable Arrays
Subjects: Hardware Architecture (cs.AR)
[4]  arXiv:2301.01454 [pdf, other]
Title: Accurate, Low-latency, Efficient SAR Automatic Target Recognition on FPGA
Subjects: Hardware Architecture (cs.AR); Computer Vision and Pattern Recognition (cs.CV); Image and Video Processing (eess.IV)
[5]  arXiv:2301.02359 [pdf, other]
Title: CHARM: Composing Heterogeneous Accelerators for Matrix Multiply on Versal ACAP Architecture
Subjects: Hardware Architecture (cs.AR)
[6]  arXiv:2301.02785 [pdf, other]
Title: Duet: Creating Harmony between Processors and Embedded FPGAs
Comments: Accepted to HPCA 2023
Subjects: Hardware Architecture (cs.AR)
[7]  arXiv:2301.03537 [pdf, other]
Title: TinyVers: A Tiny Versatile System-on-chip with State-Retentive eMRAM for ML Inference at the Extreme Edge
Comments: Accepted in IEEE Journal of Solid-State Circuits
Subjects: Hardware Architecture (cs.AR)
[8]  arXiv:2301.03899 [pdf, other]
Title: A Storage-Effective BTB Organization for Servers
Subjects: Hardware Architecture (cs.AR)
[9]  arXiv:2301.03904 [pdf, other]
Title: RedMule: A Mixed-Precision Matrix-Matrix Operation Engine for Flexible and Energy-Efficient On-Chip Linear Algebra and TinyML Training Acceleration
Subjects: Hardware Architecture (cs.AR); Artificial Intelligence (cs.AI); Machine Learning (cs.LG)
[10]  arXiv:2301.04228 [pdf, other]
Title: Harvesting L2 Caches in Server Processors
Subjects: Hardware Architecture (cs.AR)
[11]  arXiv:2301.04392 [pdf, other]
Title: Adaptive Data Path Selection for Durable Transaction in GPU Persistent Memory
Authors: Xinjian Long
Subjects: Hardware Architecture (cs.AR)
[12]  arXiv:2301.04767 [pdf, other]
Title: RAD-Sim: Rapid Architecture Exploration for Novel Reconfigurable Acceleration Devices
Comments: Published in the 2022 proceedings of the International Conference on Field-Programmable Logic and Applications (FPL)
Subjects: Hardware Architecture (cs.AR)
[13]  arXiv:2301.06804 [pdf, other]
Title: A Review of Techniques for Ageing Detection and Monitoring on Embedded Systems
Subjects: Hardware Architecture (cs.AR); Systems and Control (eess.SY)
[14]  arXiv:2301.07271 [pdf, ps, other]
Title: Chip Guard ECC: An Efficient, Low Latency Method
Authors: Tanj Bennett
Comments: 6 pages, 1 figure
Subjects: Hardware Architecture (cs.AR)
[15]  arXiv:2301.07484 [pdf, other]
Title: Exposing Reliability Degradation and Mitigation in Approximate DNNs under Permanent Faults
Comments: Accepted for publication in the IEEE Transactions on Very Large Scale Integration Systems (TVLSI) journal
Subjects: Hardware Architecture (cs.AR); Emerging Technologies (cs.ET)
[16]  arXiv:2301.07486 [pdf, other]
Title: CINM (Cinnamon): A Compilation Infrastructure for Heterogeneous Compute In-Memory and Compute Near-Memory Paradigms
Comments: 13 pages, 11 figures
Subjects: Hardware Architecture (cs.AR)
[17]  arXiv:2301.07492 [pdf, ps, other]
Title: Failure Tolerant Training with Persistent Memory Disaggregation over CXL
Subjects: Hardware Architecture (cs.AR); Machine Learning (cs.LG)
[18]  arXiv:2301.07510 [pdf, other]
Title: PEZY-SC3: A MIMD Many-core Processor for Energy-efficient Computing
Authors: Naoya Hatta (1), Shuntaro Tsunoda (1), Kouhei Uchida (1), Taichi Ishitani (1), Ryota Shioya (1 and 2), Kei Ishii (1) ((1) PEZY Computing, (2) The University of Tokyo)
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC)
[19]  arXiv:2301.09413 [pdf, other]
Title: Manticore: Hardware-Accelerated RTL Simulation with Static Bulk-Synchronous Parallelism
Subjects: Hardware Architecture (cs.AR)
[20]  arXiv:2301.09470 [pdf, other]
Title: Enabling Kernel Bypass Networking on gem5
Comments: 4 Pages, 4 Figures and 1 Table
Subjects: Hardware Architecture (cs.AR); Networking and Internet Architecture (cs.NI)
[21]  arXiv:2301.09674 [pdf, other]
Title: Architectural Support for Efficient Data Movement in Disaggregated Systems
Comments: To appear in the Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS) 2023 and the ACM SIGMETRICS 2023 conference. arXiv admin note: text overlap with arXiv:2301.00414
Subjects: Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC); Performance (cs.PF)
[22]  arXiv:2301.10408 [pdf, other]
Title: Minimizing the Motion-to-Photon-delay (MPD) in Virtual Reality Systems
Subjects: Hardware Architecture (cs.AR)
[23]  arXiv:2301.10852 [pdf, other]
Title: Flexagon: A Multi-Dataflow Sparse-Sparse Matrix Multiplication Accelerator for Efficient DNN Processing
Comments: To appear on ASPLOS 2023
Subjects: Hardware Architecture (cs.AR)
[24]  arXiv:2301.11142 [pdf, other]
Title: Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons
Comments: Accepted for publication at the 26th Design, Automation and Test in Europe Conference (DATE'23), April 17-19 2022, Antwerp, Belgium
Subjects: Hardware Architecture (cs.AR)
[25]  arXiv:2301.11505 [pdf, ps, other]
Title: Design of an FPGA-based USB 3.0 device controller
Authors: Zhe Ning, Yunhua Sun
Comments: 10 pages, 13 figures
Subjects: Hardware Architecture (cs.AR)
[26]  arXiv:2301.11511 [pdf, other]
Title: JASS: A Flexible Checkpointing System for NVM-based Systems
Comments: 13 pages, 11 figures
Subjects: Hardware Architecture (cs.AR)
[27]  arXiv:2301.11967 [pdf, other]
Title: Mapi-Pro: An Energy Efficient Memory Mapping Technique for Intermittent Computing
Subjects: Hardware Architecture (cs.AR)
[28]  arXiv:2301.12181 [pdf, other]
Title: A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits
Comments: 38 pages, 37 figures
Subjects: Hardware Architecture (cs.AR)
[29]  arXiv:2301.12252 [pdf, ps, other]
Title: Machine Learning Accelerators in 2.5D Chiplet Platforms with Silicon Photonics
Subjects: Hardware Architecture (cs.AR); Artificial Intelligence (cs.AI); Machine Learning (cs.LG)
[30]  arXiv:2301.12312 [pdf, other]
Title: Accelerating Graph Analytics on a Reconfigurable Architecture with a Data-Indirect Prefetcher
Subjects: Hardware Architecture (cs.AR)
[31]  arXiv:2301.13332 [pdf, other]
Title: Efficient Multi-Cycle Folded Integer Multipliers
Comments: 11 pages, 6 figures. Submitted to the IEEE TETC Special Section on Emerging and Impacting Trends on Computer Arithmetic
Subjects: Hardware Architecture (cs.AR)
[32]  arXiv:2301.00161 (cross-list from cs.IT) [pdf, other]
Title: Active RISs: Signal Modeling, Asymptotic Analysis, and Beamforming Design
Comments: Accepted by IEEE GLOBECOM 2022. This paper includes a 64-element active RIS aided wireless communication prototype and the field test results. The journal version is at: arXiv:2103.15154. Simulation codes are provided at: this http URL
Journal-ref: IEEE GLOBECOM 2022
Subjects: Information Theory (cs.IT); Hardware Architecture (cs.AR); Signal Processing (eess.SP); Systems and Control (eess.SY)
[33]  arXiv:2301.00675 (cross-list from cs.LG) [pdf, other]
Title: FlatENN: Train Flat for Enhanced Fault Tolerance of Quantized Deep Neural Networks
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[34]  arXiv:2301.01905 (cross-list from cs.NE) [pdf, other]
Title: FireFly: A High-Throughput Hardware Accelerator for Spiking Neural Networks with Efficient DSP and Memory Optimization
Subjects: Neural and Evolutionary Computing (cs.NE); Hardware Architecture (cs.AR)
[35]  arXiv:2301.02432 (cross-list from cs.DC) [pdf, other]
Title: Myths and Legends in High-Performance Computing
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR); Computers and Society (cs.CY); Machine Learning (cs.LG); Social and Information Networks (cs.SI)
[36]  arXiv:2301.03103 (cross-list from cs.DC) [pdf, other]
Title: A Multi-Site Accelerator-Rich Processing Fabric for Scalable Brain-Computer Interfacing
Comments: 16 pages, 13 figures
Subjects: Distributed, Parallel, and Cluster Computing (cs.DC); Hardware Architecture (cs.AR)
[37]  arXiv:2301.03724 (cross-list from cs.CR) [pdf, other]
Title: SoK: Hardware Defenses Against Speculative Execution Attacks
Comments: Accepted to 2021 International Symposium on Secure and Private Execution Environment Design (SEED)
Journal-ref: 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021, pp. 108-120
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[38]  arXiv:2301.03852 (cross-list from cs.CR) [pdf, ps, other]
Title: BLE Protocol in IoT Devices and Smart Wearable Devices: Security and Privacy Threats
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR); Networking and Internet Architecture (cs.NI)
[39]  arXiv:2301.07050 (cross-list from cs.LG) [pdf, other]
Title: An Energy-Efficient Reconfigurable Autoencoder Implementation on FPGA
Comments: Accepted at Intelligent Systems Conference (IntelliSys) 2023
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[40]  arXiv:2301.08018 (cross-list from cs.CR) [pdf, ps, other]
Title: System on Chip Rejuvenation in the Wake of Persistent Attacks
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[41]  arXiv:2301.09312 (cross-list from cs.LG) [pdf, other]
Title: Enabling Hard Constraints in Differentiable Neural Network and Accelerator Co-Exploration
Comments: publisehd at DAC'22
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[42]  arXiv:2301.09738 (cross-list from cs.CR) [pdf, other]
Title: Security of Electrical, Optical and Wireless On-Chip Interconnects: A Survey
Comments: 41 pages, 24 figures, 4 tables
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR); Networking and Internet Architecture (cs.NI)
[43]  arXiv:2301.09813 (cross-list from cs.LG) [pdf, other]
Title: Slice-and-Forge: Making Better Use of Caches for Graph Convolutional Network Accelerators
Comments: Published at PACT'22
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR); Distributed, Parallel, and Cluster Computing (cs.DC)
[44]  arXiv:2301.09950 (cross-list from cs.GR) [pdf, other]
Title: Multi-color Holograms Improve Brightness in Holographic Displays
Comments: 11 pages, 11 figures
Subjects: Graphics (cs.GR); Hardware Architecture (cs.AR); Human-Computer Interaction (cs.HC); Optics (physics.optics)
[45]  arXiv:2301.10216 (cross-list from cs.LO) [pdf, other]
Title: C-SAR: SAT Attack Resistant Logic Locking for RSFQ Circuits
Subjects: Logic in Computer Science (cs.LO); Hardware Architecture (cs.AR); Cryptography and Security (cs.CR)
[46]  arXiv:2301.10388 (cross-list from cs.LG) [pdf, other]
Title: SGCN: Exploiting Compressed-Sparse Features in Deep Graph Convolutional Network Accelerators
Comments: To appear at HPCA'23
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR)
[47]  arXiv:2301.10445 (cross-list from cs.IT) [pdf, other]
Title: High-Throughput Rate-Flexible Combinational Decoders for Multi-Kernel Polar Codes
Subjects: Information Theory (cs.IT); Hardware Architecture (cs.AR); Systems and Control (eess.SY)
[48]  arXiv:2301.10618 (cross-list from cs.CR) [pdf, other]
Title: Clueless: A Tool Characterising Values Leaking as Addresses
Comments: Accepted by Hardware and Architectural Support for Security and Privacy (HASP) 2022
Subjects: Cryptography and Security (cs.CR); Hardware Architecture (cs.AR)
[49]  arXiv:2301.10950 (cross-list from eess.SY) [pdf, other]
Title: mmFlexible: Flexible Directional Frequency Multiplexing for Multi-user mmWave Networks
Comments: A version of this manuscript is accepted at Infocom'23; however, this version is 17 pages long with an additional Appendix that provides detailed mathematical analysis and closed-form expressions for delays and phases in DPA. Open-source link this https URL
Subjects: Systems and Control (eess.SY); Hardware Architecture (cs.AR); Information Theory (cs.IT)
[50]  arXiv:2301.11899 (cross-list from cs.LG) [pdf, ps, other]
Title: Is TinyML Sustainable? Assessing the Environmental Impacts of Machine Learning on Microcontrollers
Comments: Communications of the ACM (CACM) November 2023 Issue
Subjects: Machine Learning (cs.LG); Hardware Architecture (cs.AR); Computers and Society (cs.CY)
[ total of 55 entries: 1-50 | 51-55 ]
[ showing 50 entries per page: fewer | more | all ]

Disable MathJax (What is MathJax?)

Links to: arXiv, form interface, find, cs, 2404, contact, help  (Access key information)